[BACK]Return to register.out_ascii CVS log [TXT][DIR] Up to [cvsweb.bsd.lv] / mandoc / regress / roff / cond

File: [cvsweb.bsd.lv] / mandoc / regress / roff / cond / register.out_ascii (download)

Revision 1.5, Tue Aug 16 17:59:21 2022 UTC (22 months, 2 weeks ago) by schwarze
Branch: MAIN
Changes since 1.4: +1 -1 lines

Adjust the desired output after the improvements in term.c rev. 1.290.
The new version of this file was generated with groff-current.
Heirloom nroff produces exactly the same output for the content
of the DESCRIPTION.

REGISTER(1)                 General Commands Manual                REGISTER(1)

NNAAMMEE
       register - conditional testing whether a register is defined

DDEESSCCRRIIPPTTIIOONN
       not yet defined
       now defined

       tab after identifier:      myreg is defined

       escape sequence after identifier: -myreg is defined

       backslash in name: \ is defined

       dot in name: . is defined \. is defined

       invalid escape in name: G is defined \G is defined

OpenBSD                          June 3, 2022                      REGISTER(1)